EXP-5 VHDL IMPLEMENTATION OF MULTIPLEXER

AIM:
       To implement an 8:1 multiplexer in FPGA kit using switches(input) and LED(output).

APPARATUS REQUIRED:
       Xilinx V14.7 Software
       FPGA Trainer Kit

LOGIC DIAGRAMS:

TRUTH TABLES:
FLOW CHART:
PROGRAMS CODE:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity mux_m is
    Port ( I0,I1,I2,I3,I4,I5,I6,I7 : in  STD_LOGIC;
           Sel : in  STD_LOGIC_VECTOR (2 downto 0);
           Y8 : out  STD_LOGIC);
end mux_m;

architecture Behavioral of mux_m is

begin

process (I0,I1,I2,I3,I4,I5,I6,I7, Sel)

 begin
 case Sel is

 when "000" => Y8 <= I0;
 when "001" => Y8 <= I1;
 when "010" => Y8 <= I2;
 when "011" => Y8 <= I3;
 when "100" => Y8 <= I4;
 when "101" => Y8 <= I5;
 when "110" => Y8 <= I6;
 when "111" => Y8 <= I7;
 when others => null;

 end case;
 end process;

 end Behavioral;

PROCEDURES:
Step1: Open xilinx software > go to file > new project > create source file name> select specific folder> next >  select proper project settings> we use spartan 3E trainer kit.

Step2: next > finish > go to project > new source > select VHDL module > create file name> next > select input and output > next > finish> write program > if done write programs > click synthesis > right click> run.

Step3: go to user constraints > select I/O pin planning and right click > run > yes. wait few seconds. will open new tap like this.

Step4:  Assign input and output of the trainer kit pinouts > go to file > select save to constraints and close that tap. select implementation design and right click > run. select generate programming and right click > run. if you completed those three option with green tik. select configure device and right click >run. will open new tap. double click boundary scan >  ok. connect FPGA Trainer kit to PC system through parallel port or usb > right click centre point of the blue colour line > select initialize chain > then connected your FPGA Trainer kit.

Step5: open .bit file and load it > now you check the output result of the  FPGA Trainer kit.

NOTE: if you want image file > click print screen  > open MS paint > cnt +v > save
           if you want PDF file > file > print > select doPDF printer > create pdf file name + quality> ok

No comments:

Post a Comment